문서 ID: 000076734 콘텐츠 형태: 제품 정보 및 문서 마지막 검토일: 2020-10-07

기본 전용 모드에서 JESD204C 인텔® FPGA IP를 사용하여 설계를 컴파일할 때 타이밍 클로저 경고를 해결하려면 어떻게 해야 합니까?

환경

    인텔® Quartus® Prime Pro Edition
    JESD204B 인텔® FPGA IP
BUILT IN - ARTICLE INTRO SECOND COMPONENT
설명

인텔® Quartus® Prime Pro Edition 소프트웨어 버전 20.1 또는 20.2에서 기본 전용 모드의 JESD204C 인텔® FPGA IP 사용하여 설계를 컴파일할 때 아래 경고 메시지의 일부 또는 전부가 표시될 수 있습니다.

메시지 ID

메시지 텍스트

17897

"[get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|delayed_wrptr_g*}]"에서 "[get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_"까지의 set_net_delay 할당을 충족하는 대상 클록 기간을 찾을 수 없습니다. dcfifo132b_m20k|dcfifo_component|auto_generated|rs_dgwp|dffpipe*|dffe*}]"입니다. 이 할당은 무시됩니다.

332182

할당 "set_max_skew -from [get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|*rdptr_g*}] -to [get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|ws_dgrp|dffpipe*|dffe*}] -get_skew_value_from_clock_period src_clock_period -skew_value_multiplier 0.800 "입니다. 이 할당은 무시됩니다.

332174

intel_jesd204c.sdc(81)에서 무시된 필터: -group을 클록과 일치시킬 수 없습니다.

332049

intel_jesd204c.sdc(64)에서 무시된 create_clock: 인수가 빈 컬렉션입니다.

332054

할당 set_clock_groups 허용되지만 intel_jesd204c.sdc(81)에 몇 가지 문제가 있습니다. 인수 -group 값이 있는 그룹 -group -group {j204c_txphy_clk[0]} -group {j204c_txphy_clk[1]} -group {j204c_txphy_clk[2]} -group {j204c_txphy_clk[3]} -group {j204c_txphy_clk[4]} -group {j204c_txphy_clk[5]} -group {j204c_txphy_clk[6]} -group {j204c_txphy_clk[7]} -group {[7]}이(가) 다음 유형의 요소와 일치할 수 없습니다. ( clk )

332060

노드: j204c_txphy_clk 클럭으로 확인되었지만 연결된 클럭 할당 없이 발견되었습니다.

해결 방법

인텔® Quartus® Prime Pro Edition 소프트웨어 버전 20.1 또는 20.2에서 이 문제를 해결하려면 아래와 같이 intel_jesd204c.sdc 파일의 특정 줄을 바꿉니다.

심플렉스 RX 베이스 전용(.../intel_jesd204c_rx_191/synth/intel_jesd204c.sdc)에 있습니다.

변경 위치:

77 세트 overall_clock ""

78 for { set j 0} { $j < 4} { incr j} {

79 추가 overall_clock "-group {j204c_rxphy_clk[$j]} "

80 }

81 set_clock_groups -비동기 -그룹 {j204c_rx_avs_clk} -그룹 {j204c_rxlink_clk j204c_rxframe_clk} $overall_clock

받는 사람

77 세트 overall_clock ""

78 세트 clock_grp ""

79 for { set j 0} { $j < 4} { incr j} {

80 추가 overall_clock "-group {j204c_rxphy_clk[$j]} "

81 }

82 set clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_rx_avs_clk} -group {j204c_rxlink_clk j204c_rxframe_clk} }

83 추가 clock_grp $clock_grp_tmp $overall_clock

84 평가 $clock_grp

Simplex TX 베이스 전용(.../intel_jesd204c_tx_191/synth/intel_jesd204c.sdc):

변경 위치:

{set i 0} { $i < 4} {incr i} {

64 eval {create_clock -name "j204c_txphy_clk[$i]" -주기 3.945ns [get_ports j204c_txphy_clk[$i]]}

65 }

.

.

.

78 for { set j 0 } { $j < 4} { incr j} {

79 추가 overall_clock "-group {j204c_txphy_clk[$j]} "

80 }

81 eval {set_clock_groups -asynchronous -group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} $overall_clock}

받는 사람

64 eval {create_clock -name "j204c_txphy_clk" -주기 3.945ns [get_ports j204c_txphy_clk]}

.

.

.

79 세트 clock_grp ""

80 추가 overall_clock {-group {j204c_txphy_clk} }

81 set clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} }

82 추가 clock_grp $clock_grp_tmp $overall_clock

83 평가 $clock_grp

듀플렉스 베이스 전용(.../intel_jesd204c_tx_191/synth/intel_jesd204c.sdc):

변경 위치:

64 create_clock -name "j204c_rxphy_clk[$i]" -주기 3.945ns [get_ports j204c_rxphy_clk[$i]]

65 create_clock -name "j204c_txphy_clk[$i]" -주기 3.945ns [get_ports j204c_txphy_clk[$i]]

66 }

.

.

.

86 세트 overall_clock ""

87 for { set j 0 } { $j < 4} { incr j} {

88 추가 overall_clock "-그룹 {j204c_rxphy_clk[$j]} -그룹 {j204c_txphy_clk[$j]} "

89 }

90 set_clock_groups -asynchronous -group {j204c_tx_avs_clk j204c_rx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk j204c_rxlink_clk j204c_rxframe_clk } $overall_clock

받는 사람

64 create_clock -name "j204c_rxphy_clk[$i]" -주기 3.945ns [get_ports j204c_rxphy_clk[$i]]

65 }

66 평가 create_clock -이름 "j204c_txphy_clk" -주기 3.945ns [get_ports j204c_txphy_clk]

.

.

.

87 세트 overall_clock ""

88 세트 clock_grp ""

89 추가 overall_clock {-group {j204c_txphy_clk} }

90 set clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} }

91 for { set j 0} { $j < 4} { incr j} {

92 추가 overall_clock "-group {j204c_rxphy_clk[$j]} "

93 }

94 set clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_rx_avs_clk} -group {j204c_rxlink_clk j204c_rxframe_clk} }

95 추가 clock_grp $clock_grp_tmp $overall_clock

96 평가 $clock_grp

참고: 모든 클럭 기간 값은 사용자가 선택한 값에 따라 다릅니다.

이 문제는 인텔 Quartus Prime Pro Edition 소프트웨어 버전 20.3부터 해결됩니다.

관련 제품

이 문서는 다음 항목에 적용됩니다. 3 제품

인텔® Stratix® 10 TX FPGA
인텔® Agilex™ F-시리즈 FPGAs 및 SoC FPGAs
인텔® Stratix® 10 MX FPGA

1

이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어서는 안 됩니다. 이 페이지의 영어 버전과 번역 사이에 모순이 있는 경우 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.