문서 ID: 000078329 콘텐츠 형태: 문제 해결 마지막 검토일: 2012-09-11

lpm_counter 메가 기능을 포함한 설계를 공식적으로 검증하는 동안 만남 준수가 불일치를 보고하는 이유는 무엇입니까?

환경

  • 확인
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    설명

    lpm_counter 메가 기능(aset, aclr, aload)의 두 개 이상의 비동기 제어 신호가 사용될 때 lpm_counter 메가 기능을 포함한 설계에 대해 공식적인 검증 불일치가 발생합니다.

    이러한 불일치를 제거하려면 설계를 컴파일하기 전에 Quartus® II 소프트웨어의 lpm_counter 메가 기능의 래퍼에 블랙박스 속성을 적용하십시오.

    Conformal을 사용한 공식 검증에 대한 자세한 내용은 Quartus II 핸드북의 볼륨 3의 케이던스 만남 적합 지원(PDF) 장을 참조하십시오.

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.