문서 ID: 000078551 콘텐츠 형태: 문제 해결 마지막 검토일: 2011-10-12

케이던스 NC-Sim 소프트웨어 오류: ncelab: *F, GENPAR: VHDL 일반 ALTERA_MULT_ADD. ACCUM_DIRECTION(./cplxmult.vhd: 라인 65, 위치 16) 및 verilog 매개변수가 altera_mult_add.extra_latency(/tools/acdskit/11.0/140/linux64/quartus/eda/sim_lib

환경

  • 인텔® Quartus® II 구독 에디션
  • 시뮬레이션
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    중요 문제

    설명

    Cadence NC-Sim 소프트웨어에서 ALTMULT_ADD 메가 기능을 포함하는 VHDL 설계의 RTL 시뮬레이션인 altera_lnsim.sv를 사용하여 수행하려고 하면 NC-Sim 소프트웨어가 다음 오류를 발생합니다.

    케이던스 NC-Sim 소프트웨어 오류: ncelab:*F, GENPAR: VHDL 일반 ALTERA_MULT_ADD. ACCUM_DIRECTION(./cplxmult.vhd:라인 65, 위치 16) 및 verilog 매개변수가 altera_mult_add.extra_latency(/tools/acdskit/11.0/140/linux64/quartus/eda/sim_lib/altera_lnsim.sv:line 3631, 위치 23)는 호환되지 않습니다.

    해결 방법

    오류를 방지하려면 옵션을 사용하십시오. -namemap_mixgen ncelab 명령을 사용하십시오.

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 1 제품

    Stratix® V FPGA

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.