문서 ID: 000079815 콘텐츠 형태: 문제 해결 마지막 검토일: 2015-01-26

사전 로더가 실행된 후 FPGA 구성 전 대출 IO 상태는 어떻게 됩니까?

환경

BUILT IN - ARTICLE INTRO SECOND COMPONENT
설명

Preloader가 대출 IO로 구성된 HPS IO 핀은 FPGA 구성 전에 '1'을 구동합니다.  이로 인해 트라이 스테이트로 의도된 핀에 대한 예기치 않은 동작이 발생할 수 있습니다.

 

해결 방법

FPGA 구성 전에 Loan IO에 할당될 핀을 전원이 켜진 상태로 유지하려면 다음 단계를 따르십시오.

  1. Quartus® II 프로젝트의 사본을 가지고 Qsys 시스템의 모든 대출 IO 핀을 GPIO로 변경하십시오.
  2. 프로젝트의 복사본을 컴파일하여 프리로더 생성을 위한 핸드오프 파일을 얻습니다.
  3. 복사 프로젝트에 대해 평소와 같이 프리로더를 생성합니다.
  4. 부팅을 위해 사전 로더 대신 복사 프로젝트에서 프리로더를 사용하십시오.
  5. FPGA 구성한 후, system_manager.c에서 sysmgr_pinmux_init 기능을 실행하지만, 의도한 프로젝트에 대해 생성된 프리로더에서 생성된 pinmux_config.h 및 pinmux_config_cyclone5.c 파일을 사용하여 HPS pinmux 설정을 재구성합니다.

이 동작은 향후 Cyclone® V 및 Arria® V 문서 릴리스에 포함될 예정입니다.

관련 제품

이 문서는 다음 항목에 적용됩니다. 5 제품

Arria® V ST SoC FPGA
Arria® V SX SoC FPGA
Cyclone® V SE SoC FPGA
Cyclone® V ST SoC FPGA
Cyclone® V SX SoC FPGA

이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.