문서 ID: 000080099 콘텐츠 형태: 문제 해결 마지막 검토일: 2013-08-27

quartus II 소프트웨어 버전 11.0SP1 이전의 Stratix III 및 Stratix IV 장치에서 계단식 포스트 스케일 카운터를 사용하는 PLL을 병합할 때 문제가 있습니까?

환경

BUILT IN - ARTICLE INTRO SECOND COMPONENT
설명

Stratix® III 및 Stratix IV 장치의 경우 Quartus® II 소프트웨어 버전 11.0 SP1 이상은 PLL 중 하나 이상에서 연속된 사후 카운터를 사용하여 낮은 출력 클럭 주파수를 달성하고 PLL이 설계의 다른 버전과 병합되는 경우 잘못된 저주파 출력 클럭을 생성할 수 있습니다.

Quartus II 소프트웨어는 설계에서 두 개 이상의 ALTPLL 인스턴스를 하나의 PLL 리소스에 결합할 수 있을 때 PLL을 병합할 수 있습니다.  예를 들어, 두 개의 ALTPLL 메가기능에 동일한 참조 클럭, 동일한 재설정 신호가 있고 각각 단일 PLL에서 생성할 수 있는 출력 클럭이 있는 경우 동일한 리소스로 병합됩니다.

Quartus II 소프트웨어 버전 11.0SP1 이전 버전에서 병합 기능이 캐스케이드 카운터를 제대로 구현하지 못합니다.  PLL 사용 보고서에는 의도된 클럭 주파수가 구현되었으며, 타이밍 분석이 의도된 클럭 속도로 수행되지만 클럭 출력에는 장치에서 원하는 출력 주파수가 없을 수 있습니다.

이 문제를 해결하려면 프로젝트에 대해 "자동 병합 PLL"을 끕니다.  이 옵션은 할당 메뉴 - 설정 - Fitter 설정 - 더 적합한 설정에서 찾을 수 있습니다.

 

관련 제품

이 문서는 다음 항목에 적용됩니다. 4 제품

Stratix® III FPGA
Stratix® IV GT FPGA
Stratix® IV E FPGA
Stratix® IV GX FPGA

이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.