문서 ID: 000082147 콘텐츠 형태: 제품 정보 및 문서 마지막 검토일: 2015-04-17

Cyclone III 및 Cyclone IV 장치에서 직렬 플래시 로더(SFL) IP의 타이밍을 어떻게 제한할 수 있습니까?

환경

  • MicroBlaster™ 패시브 직렬 소프트웨어 드라이버
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    설명

    직렬 구성(EPCS) 장치, 직렬 플래시 로더(SFL) IP가 있는 EPCQ(직렬 구성) 장치를 Cyclone III 및 Cyclone® IV 장치를 프로그래밍할 때는 다음을 사용할 수 있습니다. SDC가 SFL을 올바르게 타이밍을 제한하도록 제약을 받습니다.

    설계, 구성 장치 및 보드 추적 길이에 따라 신호 이름, 경로 및 타이밍을 수정해야 합니다.

     

    #clock

    derive_clock_uncertainty

    create_generated_clock -name {altera_dclk} -source [get_ports {altera_reserved_tck}] -master_clock {altera_reserved_tck} [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_DCLK}]

     

    #constrain JTAG 포트
    set_input_delay -clock altera_reserved_tck 20 [get_ports altera_reserved_tdi]

    set_input_delay -clock altera_reserved_tck 20 [get_ports altera_reserved_tms]

    set_output_delay -clock altera_reserved_tck 20 [get_ports altera_reserved_tdo]

     

    #ASMI 포트

    set_output_delay -add_delay -clock [get_clocks {altera_dclk}] 13.000 [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_SCE}]
    set_output_delay -add_delay -clock [get_clocks {altera_dclk}] 8.000 [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_SDO}]
    set_input_delay -add_delay -clock [get_clocks {altera_dclk}] 11.000 [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_DATA0}]

     

    Time Quest에서 설정한 #Remove 클럭 그룹

    remove_clock_groups -all

     

    #Set 거짓 경로

    set_false_path -에서 [get_ports {altera_reserved_tck}]에서 [get_keepers {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_DCLK}]
    set_false_path -에서 [get_keepers {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|alt_sfl_enhanced:\ENHANCED_PGM:sfl_inst_enhanced|device_dclk_en_reg}] -에서 [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_DCLK}]

     

    해결 방법

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 4 제품

    Cyclone® III LS FPGA
    Cyclone® IV E FPGA
    Cyclone® IV GX FPGA
    Cyclone® III FPGA

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.