문서 ID: 000082189 콘텐츠 형태: 문제 해결 마지막 검토일: 2015-04-16

PCI Express pld_clk_inuse_hip_sync 신호에 대한 인텔® Arria® 10 하드 IP에서 타이밍 오류가 발생합니까?

환경

  • 인텔® Quartus® II 구독 에디션
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    설명

    Quartus® II 소프트웨어 릴리스 14.1의 문제로 인해 PCI Express용 인텔® Arria® 10 하드 IP에 대한 일부 제약 조건이 누락되었습니다.

    신호 pld_clk_inuse_hip_sync 경로는 거짓 경로로 설정할 수 있습니다.

    해결 방법

    이 문제를 해결하려면 derive_pll_clocks 지시문 이후에 최상위 제약 조건(.sdc) 파일에 다음 제약 조건을 추가하십시오.

    # HIP 창자 핀 SDC 제약 조건
    set_false_path -에서 [get_pins -compatibility_mode *hip_ctrl*]
    set_false_path -에서 [get_pins -compatibility_mode *altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_rs_a10_hip:g_soft_reset.altpcie_rs_a10_hip|hiprst*]
    set_false_path -to [get_registers *altpcie_a10_hip_pipen1b|pld_clk_inuse_hip_sync]
    set_false_path -에서 [get_pins -compatibility_mode *|*reset_status_sync_pldclk_r*]
    set_false_path -에서 [get_registers *altpcie_256_sriov_dma_avmm_hwtcl:apps|altpcierd_hip_rs:rs_hip|app_rstn]

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 3 제품

    인텔® Arria® 10 GT FPGA
    인텔® Arria® 10 GX FPGA
    인텔® Arria® 10 SX SoC FPGA

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.