문서 ID: 000083219 콘텐츠 형태: 문제 해결 마지막 검토일: 2014-06-30

리비에라-PRO에서 Avalon-ST 인터페이스 VHDL BFM 시뮬레이션 실패

환경

    인텔® Quartus® II 구독 에디션
    시뮬레이션
BUILT IN - ARTICLE INTRO SECOND COMPONENT

중요 문제

설명

Aldec® Riviera-PRO™ Advanced에서 디자인을 시뮬레이션할 때 검증 플랫폼, Avalon® 스트리밍(Avalon-ST) 인터페이스 버스 기능 모델(BBFM)은 다음과 같은 오류로 실패합니다.

  • Error: "# sim_run" not found in "log.txt". Simulation did not run.
  • Error: Found 3 error(s) in "log.txt":
Error: 1211 | # ACOM: Error: ELAB1_0021: /build/arc/execute/dir_21768/_0/regtest/ip/merlin/altera_merlin_apb_slave_agent/sim_script/vhdl/riviera/top_tb/submodules/altera_avalon_st_sink_bfm_vhdl.vhd : (113, 0): Types do not match for port "data_in0". Error: 1212 | # ACOM: Error: ELAB1_0021: /build/arc/execute/dir_21768/_0/regtest/ip/merlin/altera_merlin_apb_slave_agent/sim_script/vhdl/riviera/top_tb/submodules/altera_avalon_st_sink_bfm_vhdl.vhd : (113, 0): Types do not match for port "data_out0". Error: 1214 | # SCRIPTER: Error: /build/arc/execute/dir_21768/_0/regtest/ip/merlin/altera_merlin_apb_slave_agent/sim_script/vhdl/riviera/aldec/rivierapro_setup.tcl : (222, 1): Script execution terminated due to error(s).
해결 방법

이 문제는 Riviera-PRO 버전 2013.06 및 13.1 Quartus® II 소프트웨어 릴리스.

13.0 Quartus II 소프트웨어 릴리스에서 이 문제를 해결하려면, 다음과 같이 HDL 코드를 편집해야 합니다(이탈릭 수정):

entity altera_avalon_interrupt_sink_vhdl is end altera_avalon_interrupt_sink_vhdl; architecture irq_sink_bfm_vhdl_a of altera_avalon_interrupt_sink_vhdl is -- component altera_avalon_interrupt_sink_vhdl_wrapper -- port ( data_out0 : out integer ); -- end component;

component altera_avalon_interrupt_sink_vhdl_wrapper port ( data_out0 : out std_logic_vector(0 to 31 ) ); end component; signal data_out0 : integer; function aldec_slv2int (val:std_logic_vector) return integer is begin return to_integer(unsigned(val)); end aldec_slv2int;

begin irq_sink_vhdl_wrapper : altera_avalon_interrupt_sink_vhdl_wrapper port map ( aldec_slv2int(data_out0) => data_out0 ); end irq_sink_bfm_vhdl_a;

관련 제품

이 문서는 다음 항목에 적용됩니다. 1 제품

인텔® 프로그래밍 가능 장치

1

이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.