문서 ID: 000084221 콘텐츠 형태: 문제 해결 마지막 검토일: 2011-10-17

ARRIA II GX에 대해 ALTGXB 메가 기능 생성이 실패할 수 있습니다.

환경

  • 인텔® Quartus® II 구독 에디션
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    중요 문제

    설명

    ALTGXB 메가 기능 생성을 시도하는 경우 qmegawiz -silentgeneration을 사용하는 명령줄이 메시지와 함께 실패합니다. 다음과 유사합니다.

    Error: The GXB PLL 'CMU' parameter 'input_clock_frequency' is set to an illegal value of '155.52 MHz'. The value is illegal when the device speed grade is '4', the parameter 'base_data_rate' is set to '2967.0 Mbps', and the parameter 'Pll Type' is set to 'CMU' on atom 'test_alt4gxb_t3t6:test_alt4gxb_t3t6_component|tx_pll_alt0'

    Error: The 'GXB PLL' parameter 'm' is set to an illegal value of '1'. The reference clock frequency to the PLL PFD (phase-frequency detector), which is input_clock_frequency ('155.52 MHz') \ n ('1'), must be the same as the feedback frequency to the PLL PFD, which is base_data_rate ('2967.0 Mbps') \ 2 \ m on atom 'test_alt4gxb_t3t6:test_alt4gxb_t3t6_component|tx_pll_alt0'

    Error: The 'GXB PLL' parameter 'n' is set to an illegal value of '1' on atom 'test_alt4gxb_t3t6:test_alt4gxb_t3t6_component|tx_pll_alt0'. The value of n is restricted based on the min and max reference clocks to the PLL PFD (phase-frequency detector) for the speed grade of 4 and the specific Pll Type of 'CMU'. For non-basic protocol configurations, the value of N is fixed.

    해결 방법

    MegaWizard 플러그인 관리자 GUI를 사용하여 구성 및 생성 ALTGXB 메가 기능.

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 1 제품

    Arria® II FPGA

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.