문서 ID: 000086752 콘텐츠 형태: 제품 정보 및 문서 마지막 검토일: 2017-12-28

고정 데이터 패턴을 위해 인텔 Arria® 10 EMIF IP 예시 설계 트래픽 생성기를 어떻게 수정할 수 있습니까?

환경

  • 인텔® Quartus® Prime 디자인 소프트웨어
  • HPS 인텔® Arria® 10 FPGA IP용 외부 메모리 인터페이스
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    설명

    인텔® Arria® 10 EMIF 예제 디자인을 생성할 때, 트래픽 생성기는 미리 정의된 PRBS 트래픽 패턴으로 구현됩니다. 추가 디버깅의 경우 고정 데이터 패턴을 사용하는 것이 유용할 수 있습니다.

    해결 방법

    기본 PRBS 데이터 패턴은 altera_emif_avl_tg_lfsr_wrapper.sv 파일에 있는 LFSR(선형 피드백 이동 레지스터)을 사용하여 구현됩니다. 원래 코드를 댓글을 달고 필요한 데이터 패턴으로 변경합니다(아래 예 참조).

    생성
    genvar i;
    (i = 0, < NUM_LFSR; i)
    시작: lfsr_gen
    altera_emif_avl_tg_lfsr #
    // . 너비(LFSR_WIDTH),
    // . SEED(SEED * (3 1) i
    ) lfsr_inst (
    .clk(clk),
    .reset_n(reset_n),
    .enable(활성화)
    .data(lfsr_data[((i 1)*LFSR_WIDTH-1):(i*LFSR_WIDTH)])
    // );

    최종 생성

    always_ff @(포즈 clk 또는 negedge reset_n)
    시작
    (!reset_n) 시작하면
    lfsr_data <= 256'H000000000FFFFFFFFFF00000000FFFFFFFFFFFF00000000FFFFFFFFFF00000000FFFFFFFFFFFF;
    (활성화) 시작하면 종료됩니다.
    lfsr_data <= ~lfsr_data;

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 1 제품

    인텔® Arria® 10 FPGA 및 SoC FPGA

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.