타이밍 분석기 예: 멀티사이클 예외

author-image

기준

Synopsys® 설계 제약(SDC) 명령 set_multicycle_path사용하면 데이터가 소스 레지스터와 대상 레지스터 간에 전파될 수 있도록 대상 또는 소스 클럭과 관련하여 허용되는 클럭 주기 수를 지정할 수 있습니다. 이는 그림 1에 표시된 시나리오에 유용합니다.

그림 1은 대상 레지스터 reg2에2의 멀티사이클이 필요한 간단한 회로를 보여줍니다. 레지스터 reg2는 매 초 클럭 주기마다 해당 데이터를 래치해야 합니다.

그림 1. 등록-등록 멀티사이클 경로.

아래의 SDC 명령은 위 회로의 클럭을 제한합니다.

#Constrain the base clock

create_clock -period 10.000 [get_ports clk_in]

#Constrain the PLL output clock

create_generated_clock -source inst|inclk[0] -multiply_by 2 \
-name inst|clk[1] inst|clk[1]

#Constrain the input and output ports

set_input_delay -clock clk_in 1.2 [get_ports data_in]
set_input_delay -clock clk_in 1.5 [get_ports async_rst]
set_output_delay -clock clk_in 2 [get_ports data_out]

#Apply a multicycle of 2 to registers reg1 and reg2
#By default the multicycle is relative to the destination clock waveform

set_multicycle_path -setup -end -from [get_pins reg1|clk] -to [get_pins reg2|*] 2

예시 회로 multicycle_exception.qar 를 다운로드하십시오.

이 설계의 사용은 인텔® 설계 예시 라이센스 계약의이용 약관에 따라 달라질 수 있습니다.

이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.