타이밍 분석기 수집 명령

author-image

기준

Timing Analyzer는 설계의 포트, 핀, 셀 또는 노드에 쉽게 액세스할 수 있는 수집 응용 프로그램 인터페이스(API)를 지원합니다. 타이밍 분석기에 지정된 유효한 제약 조건 또는 도구 명령 언어(Tcl) 명령을 사용하여 컬렉션 API를 사용할 수 있습니다.

표 1은 타이밍 분석기가 지원하는 컬렉션 명령을 설명합니다.

컬렉션에 대한 자세한 내용은 타이밍 분석기 장 또는 SDC 및 타이밍 분석기 API 참조 설명서(PDF)를참조하십시오.

다음 예에서는 컬렉션 명령이 포함된 create_clockcreate_generated_clock 명령의 다양한 용도를 보여줍니다.

# 60% 듀티 사이클
create_clock -period 10 -waveform {0 6} -name clk [get_ports clk]
# 다음 멀티사이클은 레지스터에서 끝나는 모든 경로에 적용됩니다 # clk set_multicycle_path 클럭된 모든 경로에 적용됩니다
-에서 [get_clocks clk] 2

이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.