문서 ID: 000074756 콘텐츠 형태: 문제 해결 마지막 검토일: 2011-09-14

pin_assignments.tcl에 Qsys 시스템의 잘못된 핀 이름이 포함되어 있습니다.

환경

  • 인텔® Quartus® II 구독 에디션
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    중요 문제

    설명

    Qsys로 생성된 시스템의 경우 <variation_name>_pin_assignments.tcl 스크립트는 다음을 수행합니다. 올바른 핀 이름을 할당하지 않습니다. 이 상황은 Qsys에서 할당한 엔터티 이름은 생성 시점에 아직 알 수 없습니다 <variation_name>_pin_assignments.tcl 스크립트 이 생성됩니다.

    이 문제는 모든 구성에 영향을 줍니다.

    설계가 시뮬레이션에 실패하고 하드웨어에서 작동하지 않습니다.

    해결 방법

    IP 코어를 생성한 후 <variation_name>_pin_assignments.tcl 스크립트를 편집하고 set instance_name 올바른 것을 지정하도록 줄을 변경하십시오. 컨트롤러 인스턴스의 이름입니다.

    이 문제는 수정되지 않습니다.

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 1 제품

    인텔® 프로그래밍 가능 장치

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.