문서 ID: 000075507 콘텐츠 형태: 문제 해결 마지막 검토일: 2015-05-20

저지연 40-100GbE CAUI-4 테스트벤치가 ModelSim 시뮬레이터에서 성공적으로 시뮬레이션할 수 없음

환경

  • 인텔® Quartus® II 구독 에디션
  • 시뮬레이션
  • 이더넷
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    중요 문제

    설명

    Low Latency로 생성된 Altera 제공 테스트벤치 40-100GbE IP 코어 CAUI-4 변형을 성공적으로 시뮬레이션할 수 없음 ModelSim 시뮬레이터에서.

    해결 방법

    이 문제에는 영향을 받는 모든 문제에 대해 테스트된 일반적인 해결 방법이 없습니다 IP 코어 버전. 그러나 다음과 같은 해결 방법을 사용할 수 있습니다 IP 코어 버전 15.0의 경우:

    1. CAUI-4 IP에 대한 테스트벤치 생성 코어 변형. 지침은 낮음에서 사용할 수 있습니다. 지연 시간 40Gbps 및 100Gbps 이더넷 MAC 및 PHY MegaCore 기능 사용자 가이드.
    2. 해당 위치에서 run_vsim.do 스크립트를 엽니다. 사용자 안내서에 명시되어 있습니다. 스크립트에는 다음과 같은 문이 포함되어 있습니다. msim_setup.tcl 파일의 경로를 식별합니다.
    3. 텍스트 편집기에서 msim_setup.tcl 파일을 엽니다.
    4. elab_debug 작업에서elab, 텍스트를 eval vsim -t ps 텍스트eval vsim -t 100fs로 바꿉니다.
    5. 의 지침에 따라 테스트벤치를 실행합니다. 사용 설명서. 테스트벤치가 성공적으로 시뮬레이션되어야 합니다.

    이 문제는 짧은 지연 시간의 향후 버전에서 수정될 예정입니다 40Gbps 및 100Gbps 이더넷 MAC 및 PHY MegaCore 기능.

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 1 제품

    인텔® 프로그래밍 가능 장치

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.