문서 ID: 000075915 콘텐츠 형태: 오류 메시지 마지막 검토일: 2012-09-11

오류(10119): Verilog HDL 루프 문 오류 <location>: 상수가 아닌 루프 조건이 있는 루프는 반복 내에서 종료되어야 합니다 <number> .</number></location>

환경

BUILT IN - ARTICLE INTRO SECOND COMPONENT
설명

이 오류는 합성이 Verilog HDL의 루프를 통해 합성 루프 제한을 초과하여 반복될 때 Quartus® II 소프트웨어에서 나타날 수 있습니다. 이 제한은 합성이 잠재적으로 무한 루프로 실행되는 것을 방지합니다. 기본적으로 이 루프 제한은 250회 반복으로 설정됩니다.

해결 방법

이 오류를 해결하려면 Quartus II 설정 파일(.qsf)의 VERILOG_NON_CONSTANT_LOOP_LIMIT 옵션을 사용하여 루프 제한을 설정할 수 있습니다. 예를 들어:

set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 300

관련 제품

이 문서는 다음 항목에 적용됩니다. 1 제품

인텔® 프로그래밍 가능 장치

이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.