문서 ID: 000075949 콘텐츠 형태: 문제 해결 마지막 검토일: 2013-06-25

10528 구성 요소 이름>{}< VHDL 오류: 값 0이(가) 대상 제약 조건 범위(1 - 2147483647)를 벗어났습니다.

환경

  • 인텔® Quartus® II 구독 에디션
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    설명

    이 오류는 Quartus® II 소프트웨어 버전 13.0의 문제로 인해 VHDL을 생성한 Qsys 시스템의 합성 중에 나타날 수 있습니다. 잘못된 데이터 유형 "positive"가 HDL 기록기에 의해 전파되어 VHDL 구성 요소 선언이 호환되지 않습니다.

    해결 방법

    Quartus II 소프트웨어 버전 13.0에서 이 문제를 해결하려면 다음을 수행합니다.
    - Qsys GUI에서 합성을 위해 Verilog를 선택합니다.

    또는

    - 텍스트 편집기에서 영향을 받는 IP에 대한 <component name>_hw.tcl을 편집하고 매개변수 유형을 "양수"에서 정수로 변경합니다.

    이 문제는 현재 Quartus II 소프트웨어의 향후 버전에서 수정될 예정입니다.

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 1 제품

    인텔® 프로그래밍 가능 장치

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.