문서 ID: 000076422 콘텐츠 형태: 오류 메시지 마지막 검토일: 2020-05-20

오류: auk_dspip_roundsat_hpfir.vhd(103)의 VHDL 오류: 값 "4294967295"이 대상 제약 조건 범위를 벗어났습니다(-2147483848에서 2147483647).

환경

  • 인텔® Quartus® Prime Pro Edition
  • FIR II 인텔® FPGA IP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    설명

    인텔® Quartus® Prime Pro Edition 소프트웨어 버전 19.2의 문제로 인해 FIR II 인텔® FPGA IP의 출력 폭이 반올림 모드에서 32비트 이상일 때 위의 오류가 나타날 수 있습니다.

    해결 방법

    기존 소프트웨어에서 이 오류를 해결하려면 IP 매개 변수 "Output LSB Rounding"을 "Truncation"으로 설정하거나 "Rounding"을 계속 사용하되 출력 너비가 32비트보다 작은지 확인합니다.

    이 문제는 인텔® Quartus® Prime Pro Edition 소프트웨어 버전 20.1부터 수정되었습니다.

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 4 제품

    인텔® Arria® 10 FPGA 및 SoC FPGA
    인텔® Stratix® 10 FPGA 및 SoC FPGA
    인텔® Cyclone® 10 FPGA
    인텔® Agilex™ FPGAs 및 SoC FPGAs

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.