문서 ID: 000076732 콘텐츠 형태: 문제 해결 마지막 검토일: 2020-11-06

eCPRI 인텔® FPGA IP의 VHDL 변형이 Synopsys VCS MX®에서 시뮬레이션되지 않는 이유는 무엇입니까?

환경

  • 인텔® Quartus® Prime Pro Edition
  • 인텔® CPRI
  • CPRI
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    중요 문제

    설명

    인텔® Quartus® Prime 소프트웨어 버전 20.1 이상의 문제로 인해 Synopsys VCS MX*에서 eCPRI 인텔® FPGA IP의 VHDL 변형을 사용할 때 시뮬레이터의 컴파일러는 매개변수 "ADV_MAP"에 대한 유형 불일치가 있음을 나타내는 오류를 표시합니다.

    해결 방법

    인텔® Quartus® Prime 버전 20.1 이상에서 이 문제를 해결하려면 다음 단계를 수행합니다.

    1) 여기에서 eCPRI 인텔® FPGA IP의 생성된 최상위 래퍼 파일을 찾습니다.

    <variant_name>/SIM


    2) 아래와 같이 매개변수 "ADV_MAP"에 할당된 모든 "ON" 또는 "OFF" 문자열을 각각 정수 1 또는 0으로 변경합니다.

    보낸 사람

    ADV_MAP : 문자열 := "켜짐";

    받는 사람

    ADV_MAP : 정수 : = 1;

    보낸 사람

    ADV_MAP => "꺼짐",

    받는 사람

    ADV_MAP => 0,

    이 문제는 인텔® Quartus® Prime 소프트웨어 버전 20.3부터 해결됩니다.

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 2 제품

    인텔® Stratix® 10 FPGA 및 SoC FPGA
    인텔® Arria® 10 FPGA 및 SoC FPGA

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.