문서 ID: 000077257 콘텐츠 형태: 문제 해결 마지막 검토일: 2012-09-11

동적 재구성 옵션을 사용할 때 Quartus II 소프트웨어가 모든 PLL 출력을 배치할 수 없는 이유는 무엇입니까?

환경

  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    설명 이 문제는 Quartus® II 소프트웨어에서 PLL 재구성 옵션을 사용할 때 발생할 수 있으며 맞지 않는 오류가 발생합니다. 동적 재구성 옵션 없이 altpll 인스턴스를 생성하면 Quartus II 기술자가 PLL 카운터를 회전하여 경로 조정 가능성을 개선할 수 있습니다. PLL 재구성이 사용되면 Quartus II는 카운터를 회전하지 않으며, 대신 PLL MegaWizard는 "카운터 순서 유지" 논리 옵션을 자동으로 켜서 해당 순서를 일치시킵니다.

    "카운터 순서 유지" 논리 옵션은 Quartus II가 설계의 가능한 팬아웃 요구 사항을 충족하기 위해 카운터 출력을 회전할 수 없도록 합니다. 예를 들어 "카운터 순서 유지"를 사용하지 않으면 컴파일 피팅 단계에서 마법사의 C0에 배치된 클록을 C2로 회전하여 설계를 성공적으로 라우팅할 수 있습니다.

    이 적합하지 않은 문제에 대한 가장 좋은 해결 방법은 PLL 재구성 기능을 활성화하지 않고 먼저 설계를 컴파일하는 것입니다. 그런 다음 최적의 카운터 순서가 결정되면 해당 순서와 일치하도록 PLL을 수정한 다음(PLL 사용량 보고서에 표시됨) PLL 재구성 기능을 활성화합니다. 그러면 Quartus II는 재구성이 활성화되고 설계에 성공적으로 맞기 때문에 이 카운터 순서를 유지합니다.

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 1 제품

    Stratix® II FPGA

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.