문서 ID: 000077820 콘텐츠 형태: 오류 메시지 마지막 검토일: 2012-07-10

내부 오류: 하위 시스템: OPT, 파일: /quartus/synth/opt/opt_ram.cpp, 줄: 8331

환경

  • 인텔® Quartus® II 구독 에디션
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    설명

    이 오류는 HDL 코드가 진정한 듀얼 포트 동기식 RAM을 유추하지만 출력 데이터 포트 중 하나가 설계에서 연결되지 않은 경우 Quartus® II 소프트웨어 버전 9.0에서 발생할 수 있습니다.

    해결 방법

    이 오류를 해결하려면 연결되지 않은 출력 데이터 포트를 HDL 코드의 레지스터에 연결하십시오.

    이러한 레지스터에 팬아웃이 없는 경우 합성 특성 또는 팬아웃 없는 레지스터 노드 유지 논리 옵션을 사용하여 noprune 합성 중에 레지스터가 제거되지 않도록 할 수 있습니다. 이 속성 또는 로직 옵션에 대한 자세한 내용은 Quartus II 핸드북의 Quartus II 통합 합성 장을 참조하십시오.

    이 문제는 Quartus II 소프트웨어 버전 10.0부터 해결됩니다.

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.