문서 ID: 000078358 콘텐츠 형태: 오류 메시지 마지막 검토일: 2007-04-13

오류(10170): Verilog HDL 구문 오류 <design>.v 텍스트 "localparam"에 가깝습니다. "end"를 기대하거나 식별자("localparam"은 예약된 키워드) 또는 순차적 진술입니다.</design>

환경

BUILT IN - ARTICLE INTRO SECOND COMPONENT
설명

이 오류는 Quartus® II 소프트웨어 버전 6.0(6.0 SP1 포함)에서만 발생하며, 소프트웨어가 다른 모든 버전보다 더 엄격하게 일부 Verilog 언어 규칙을 적용하기 때문에 아래 예와 같이 설계가 생성 문 내부의 로컬파람 선언을 사용하는 경우에만 발생합니다.

generate
    for (i = 0; i < WIDTH; i = i 8)
  begin : my_loop
  localparam j = i 7 > WIDTH-1 ? WIDTH-1 : i 7;
  localparam k = BASE (i>>3); ...
endgenerate

Verilog 언어 참조 설명서(LRM)에는 다음 규칙이 명시되어 있습니다.

생성 문에 허용되지 않는 모듈 선언 및 모듈 항목에는 매개변수, 로컬 매개변수, 입력 선언, 출력 선언, inout 선언 및 블록 지정이 포함됩니다. 1364-2001 LRM 12.1.3

오류를 방지하고 동일한 기능을 구현하려면 아래 예와 같이 로컬 매개변수 문을 항상 블록 안에 넣습니다.

generate

   for (i = 0; i < WIDTH; i = i 8)

   begin : my_loop

      always @(posedge clk or posedge reset)

         begin : my_params

            localparam j = i 7 > WIDTH-1 ? WIDTH-1 : i 7;

            localparam k = BASE   i>>3);

            ...

endgenerate

관련 제품

이 문서는 다음 항목에 적용됩니다. 1 제품

인텔® 프로그래밍 가능 장치

이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.