문서 ID: 000078626 콘텐츠 형태: 문제 해결 마지막 검토일: 2012-09-11

AN 361에 게시된 Cyclone II C7 및 C8 속도 등급에 대한 DDR/DDR2 사양에 문제가 있습니까: Cyclone II 장치 버전 1.0을 통해 DDR 및 DDR2 SDRAM을 상호 연결합니까?

환경

BUILT IN - ARTICLE INTRO SECOND COMPONENT
설명

예.  AN 361 버전 1.0: DDR 및 DDR2 SDRAM을 Cyclone II 장치와 인터페이스하는 경우, Cyclone II C7 및 C8에 대한 DDR/DDR2 최대 주파수 사양이 다음과 같이 잘못 나열되었습니다.
AN361v1.0에 게시된 DDR2 사양
C6: 167MHz(게시 시 올바른)
C7 : 167MHz(너무 공격적)
C8 : 133MHz(너무 공격적)

이러한 초기 사양은 간단한 읽기/쓰기 타이밍 분석을 기반으로 하며 I/O 토글 제한, 소음 소스 및 기타 요인을 포함하지 않았습니다.  그 결과, DDR/DDR2 최대 주파수 작동에 대한 클레임이 더욱 공격적으로 나타난 후 시스템에서 달성할 수 있습니다.

Altera 보다 엄격한 병목 현상을 분석하고 다음과 같이 Cyclone II DDR/DDR2 속도를 업데이트했습니다.
달성 가능한 Cyclone II DDR2 속도
C6 : 167MHz
C7 : 150MHz
C8(VIO) : 125MHz
C8(HIO) : 100MHz

달성 가능한 Cyclone II DDR 속도

C6 : 167MHz
C7 : 150MHz
C8 : 125MHz

웹의 원래 최대 주파수 클레임이 달성 가능한 것보다 더 공격적이었지만, 이러한 속도를 달성하려는 모든 사용자는 요청된 주파수가 너무 높다는 표시와 함께 Quartus II 소프트웨어에 플래그가 지정됩니다.  예를 들어, Cyclone II C8 / DDR2 설계가 125MHz로 설정된 경우, 다음 경고가 "경고: DQS I/O 핀 125.0 MHz의 DQS 주파수 설정 ddr_dqs[0]은 100.0 MHz 미만이어야 합니다"

자세한 내용은 Quartus II 버전 5.0SP1 이하에서 -7 및 -8 속도 등급 Cyclone II FPGAs 광고된 DDR/DDR2 속도를 컴파일할 때 경고 메시지를 받는 이유는 무엇입니까?" 라는 제목의 솔루션을 참조하십시오.

설계 대상은 AN361 버전 1.1에 나열된 업데이트된 시스템 번호를 기반으로 하며, 고유한 시스템에 대한 타이밍 분석을 수행하여 실제 시스템 속도를 정의해야 합니다.

관련 제품

이 문서는 다음 항목에 적용됩니다. 1 제품

Cyclone® II FPGA

이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.