문서 ID: 000079430 콘텐츠 형태: 문제 해결 마지막 검토일: 2016-10-07

Quartus Prime 소프트웨어가 VHDL-2008에서 IEEE fixed_pkg 및 float_pkg 라이브러리를 지원합니까?

환경

  • 인텔® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    설명

    IEEE fixed_pkg 및 float_pkg 라이브러리는 Quartus® Prime Standard edition 및 Lite 에디션에서 지원되지 않습니다.

    분석 및 합성 단계에서 아래 오류 메시지가 나타날 수 있습니다.
    오류(10481): VHDL 사용 절 오류(.vhd: 디자인 라이브러리 "ieee"에는 주 단위 "fixed_pkg"가 포함되어 있지 않습니다. 기본 장치가 라이브러리에 있고 성공적으로 컴파일되었는지 확인합니다.

    또는

    오류(10481): .vhd에서 VHDL 사용 절 오류: 디자인 라이브러리 "ieee"에는 기본 장치 "float_pkg"가 포함되어 있지 않습니다. 기본 장치가 라이브러리에 있고 성공적으로 컴파일되었는지 확인합니다.
     

    해결 방법 Quartus Prime Pro 에디션은 IEEE fixed_pkg 및 float_pkg 라이브러리를 지원합니다.

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 1 제품

    인텔® 프로그래밍 가능 장치

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.