문서 ID: 000079458 콘텐츠 형태: 문제 해결 마지막 검토일: 2012-09-11

VHDL 2008은 Qsys 및 SOPC 빌더와 함께 지원됩니까?

환경

BUILT IN - ARTICLE INTRO SECOND COMPONENT
설명

SOPC 빌더 및 Qsys의 구성 요소 편집기에서는 알려진 제한이 있습니다. VHDL 소스 파일 분석에 사용할 언어 버전을 지정할 수 없습니다.

이 문제를 해결하려면 사용자 지정 구성 요소 VHDL 파일 위에 다음 주석 줄을 추가하십시오.

-- 알테라 vhdl_input_version vhdl_2008

이 지침은 프런트엔드에 파일의 나머지 부분에 VHDL 2008을 사용하도록 지시합니다.

Quartus II가 지원하는 IEEE Std 1076-2008 버전에 정의된 VHDL 2008 구조에 대한 자세한 내용은 VHDL 2008 지원에서 Quartus II 도움말 을 참조하십시오.

http://quartushelp.altera.com/current/master.htm#mergedProjects/hdl/vhdl/vhdl_list_2008_vhdl_support.htm

관련 제품

이 문서는 다음 항목에 적용됩니다. 1 제품

인텔® 프로그래밍 가능 장치

이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.