문서 ID: 000080400 콘텐츠 형태: 제품 정보 및 문서 마지막 검토일: 2017-12-07

클럭 도메인 횡단을 제한하려면 어떻게 합니까?

환경

  • 인텔® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    설명

    멀티비트 클럭 도메인 횡단에 타이밍 제약을 적용하는 것이 필수적입니다. 이 버스에 set_false_path 제약이 있는 경우 버스 전체의 왜곡이 1 클럭 기간을 초과하여 기능 오류를 일으킬 수 있습니다.

    첫 번째 요구 사항은 두 클럭 도메인 사이에 set_false_path 제약 조건이 없다는 것입니다. 두 클럭 도메인 간의 경로를 설정 및 유지를 위해 분석하지 않으려면 우선 순위가 낮은 set_clock_groups 사용할 수 있습니다.

    다음으로 경로를 set_net_delay 제한하여 가능한 한 짧고 set_max_skew. Set_max_skew 피터를 제한하지는 않지만 타이밍 분석기에서 이러한 제약 조건에 대해 분석할 수 있습니다.

    클럭 도메인 clk_a data_a 클럭 도메인 clk_b data_b 사이의 클럭 도메인 교차에 대한 제약 조건은 다음과 같습니다.

    create_clock -name clk_a -period 4.000 [get_ports {clk_a}]

    create_clock -name clk_b -period 4.500 [get_ports {clk_b}]

    set_clock_groups -asynchronous -group [get_clocks {clk_a}] -group [get_clocks {clk_b}]

    set_net_delay -에서 [get_registers {data_a[*]}에서 [get_registers {data_b[*]}] -max-get_value_from_clock_period dst_clock_period -value_multiplier 0.8

    set_max_skew -에서 [get_keepers {data_a[*]}]에서 [get_keepers {data_b[*]}] -get_skew_value_from_clock_period min_clock_period -skew_value_multiplier 0.8

    실제 왜곡 요구 사항은 설계와 클럭 도메인 교차 처리 방식에 따라 달라집니다.

    마지막으로 타이밍 분석기에서 보고서 최대 왜곡 요약 및 보고서 순 지연 요약을 실행하여 클럭 도메인 교차 타이밍을 확인하십시오.

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 1 제품

    인텔® 프로그래밍 가능 장치

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.