문서 ID: 000080758 콘텐츠 형태: 문제 해결 마지막 검토일: 2014-02-20

낮은 지연 시간 40-100GbE IP 코어 VHDL 모델이 올바르게 시뮬레이션할 수 없음

환경

  • 인텔® Quartus® II 구독 에디션
  • 시뮬레이션
  • 이더넷
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    중요 문제

    설명

    지연 시간 40-100GbE IP에 대한 VHDL 모델을 생성하는 경우 코어는 올바르게 시뮬레이션할 수 없습니다.

    해결 방법

    이 문제에는 해결 방법이 없습니다. IP 코어를 생성해야 합니다. Verilog HDL의 변형.

    이 문제는 지연 시간 부족의 향후 버전에서 해결됩니다. 40Gbps 및 100Gbps 이더넷 MAC 및 PHY MegaCore 기능.

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 1 제품

    인텔® 프로그래밍 가능 장치

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.