문서 ID: 000084074 콘텐츠 형태: 문제 해결 마지막 검토일: 2012-09-11

여러 메모리 컨트롤러 또는 PHY 인스턴스가 DDR2 SDRAM 고성능 컨트롤러 MegaCore 또는 ALTMEMPHY 메가기능을 사용하여 Stratix II 장치에서의 타이밍 폐쇄 문제는 무엇입니까?

환경

BUILT IN - ARTICLE INTRO SECOND COMPONENT
설명

Quartus® II 소프트웨어 버전 7.1에는 ALTMEMPHY 메가 기능 타이밍 제약 파일(SDC 형식)에 알려진 문제가 있습니다. 이러한 문제는 메모리 클럭을 구동하기 위해 전용 PLL 클럭 출력을 사용하여 여러 메모리 컨트롤러를 구현하는 Stratix® II 설계의 타이밍 폐쇄에 영향을 미칩니다. 여러 DDR 컨트롤러(또는 ALTMEMPHY 인스턴스화)에 대한 정확한 타이밍 분석을 수행하려면 프로젝트에 모든 인스턴스화에 대한 클럭을 올바르게 설정하는 추가 SDC 파일을 추가하십시오.

 

아래 예에서 설계에는 코어1코어2의 래퍼 이름이 있는 두 개의 DDR2/DDR 고성능 컨트롤러 MegaCore® 인스턴스가 있습니다. MegaWizard® Plug-In Manager는 메모리 인터페이스를 제한하기 위해 core1_phy_ddr_timing.sdccore2_phy_ddr_timing.sdc의 두 개의 파일을 만듭니다. 설계가 ALTMEMPHY 메가기능을 직접 인스턴스화하면(자체 컨트롤러 포함) 파일 이름에 파일 이름의 _phy 부분이 포함되지 않습니다.

 

설계에는 다음 제약 조건이 포함된 altemphy_general.sdc라는 새로운 SDC 파일이 필요합니다.

set pll_inclk *core1_phy_alt_mem_phy_sii_inst|clk|*|altpll_component|pll|inclk\[0\]

foreach_in_collection  c [get_pins -compatibility_mode ] { }

set pll_ref_clk [get_node_info -name ]

create_clock -period 10.000

 

set pll_inclk *core2_phy_alt_mem_phy_sii_inst|clk|*|altpll_component|pll|inclk\[0\]

foreach_in_collection  c [get_pins -compatibility_mode ] { }

set pll_ref_clk [get_node_info -name ]

create_clock -period 10.000

 

이 예에서는 컨트롤러/PHY의 두 인스턴스화를 보여줍니다. 디자인의 경우 시계를 올바르게 제한하는 유사한 SDC 파일을 만듭니다. 각 컨트롤러/PHY의 경우, 인스턴스화 이름과 일치하도록 위의 SDC 명령의 이름을 변경하고 create_clock 줄의 클럭 기간을 ALTMEMPHY 메가 기능에 제공된 참조 클럭 기간에 맞게 변경합니다. 인스턴스가 2개 이상인 설계의 경우 각 인스턴스에 필요한 4개의 라인을 반복합니다.

이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.