문서 ID: 000090673 콘텐츠 형태: 문제 해결 마지막 검토일: 2023-04-11

인텔® Quartus® Prime Pro Edition 소프트웨어 버전 22.1을 사용할 때 하드웨어에서 설계가 실패하는 이유는 무엇입니까?

환경

  • 인텔® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    중요 문제

    설명

    인텔® Quartus® Prime Pro Edition 소프트웨어 버전 22.1의 문제로 인해 '분석 및 합성' 단계에서 아래 경고 메시지가 표시되면 하드웨어에서 오류가 발생할 수 있습니다. 영향을 받는 디자인은 RTL과 합성된 넷리스트 간에 불일치가 있습니다.

    합성 문제의 영향을 받는 설계에는 합성 보고서 파일(*.syn.rpt)에서 다음 경고가 표시됩니다.

    경고(13228): Verilog HDL 또는 VHDL 경고(<file>: 생성 범위 아래의 defparam은 계층 파일: < 파일 외부에서 매개변수 값을 변경할 수 없습니다>

    해결 방법

    인텔® Quartus® Prime Pro Edition 소프트웨어 버전 22.1에 대한 이 문제를 해결하기 위한 패치를 사용할 수 있습니다. 아래의 해당 링크에서 패치 0.05다운로드하고 설치 하십시오.

    이 문제는 22.1부터 시작되는 인텔® Quartus® Prime Pro Edition 소프트웨어의 모든 향후 릴리스에서 해결됩니다.

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 1 제품

    인텔® 프로그래밍 가능 장치

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.