문서 ID: 000076079 콘텐츠 형태: 문제 해결 마지막 검토일: 2014-11-14

Quartus® II 소프트웨어 버전 14.0을 사용할 때 외부 PLL이 있는 ALTLVDS_TX 인텔® FPGA IP Arria®V, Cyclone®V 및 Stratix® V 장치에서 올바르게 작동하지 않는 이유는 무엇입니까?

환경

  • 인텔® Quartus® II 구독 에디션
  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    설명

    Arria®V, Cyclone®V, Stratix®V 장치를 사용할 때 Quartus® II 소프트웨어 버전 14.0에서 외부 PLL 모드에서 ALTLVDS 인텔® FPGA IP와 함께 PLL 재구성 컨트롤러 인텔® FPGA IP를 사용할 때 알려진 문제가 있습니다.

    설계를 컴파일하고 피팅한 후 타이밍 분석기에 보고된 C1 카운터의 듀티 사이클이 사용자 정의 데이터 속도에 대한 관련 솔루션에 설명된 계산과 일치하지 않을 수 있습니다.

    해결 방법

    이 문제를 해결하려면 ALTLVDS 인텔 FPGA IP 구동하는 외부 PLL IP에서 PLL 재구성 컨트롤러의 연결을 끊어야 합니다.

    이 문제는 향후 버전의 인텔® Quartus® 소프트웨어에서 수정될 예정입니다.

    관련 제품

    이 문서는 다음 항목에 적용됩니다. 15 제품

    Cyclone® V ST SoC FPGA
    Cyclone® V SX SoC FPGA
    Stratix® V GX FPGA
    Arria® V GX FPGA
    Cyclone® V GT FPGA
    Stratix® V GS FPGA
    Stratix® V GT FPGA
    Arria® V ST SoC FPGA
    Arria® V SX SoC FPGA
    Arria® V GZ FPGA
    Cyclone® V E FPGA
    Cyclone® V GX FPGA
    Arria® V GT FPGA
    Stratix® V E FPGA
    Cyclone® V SE SoC FPGA

    이 페이지의 콘텐츠는 원본 영어 콘텐츠에 대한 사람 번역 및 컴퓨터 번역의 조합으로 완성되었습니다. 이 콘텐츠는 편의와 일반적인 정보 제공을 위해서만 제공되었으며, 완전하거나 정확한 것으로 간주되어선 안 됩니다. 이 페이지의 영어 버전과 번역 간 모순이 있는 경우, 영어 버전이 우선적으로 적용됩니다. 이 페이지의 영어 버전을 확인하십시오.